aboutsummaryrefslogtreecommitdiff
path: root/runtime/indent/systemverilog.vim
diff options
context:
space:
mode:
authorChristian Clason <c.clason@uni-graz.at>2022-04-08 19:53:41 +0200
committerGitHub <noreply@github.com>2022-04-08 19:53:41 +0200
commitd3068d34cc56a4c66f10a83922d2500f6ca9e651 (patch)
treea49b622f1965da108f3b98c7b129c38cf6ec0f08 /runtime/indent/systemverilog.vim
parentb4ec6af12a97a922fe7cd90523e397bb89c83802 (diff)
downloadrneovim-d3068d34cc56a4c66f10a83922d2500f6ca9e651.tar.gz
rneovim-d3068d34cc56a4c66f10a83922d2500f6ca9e651.tar.bz2
rneovim-d3068d34cc56a4c66f10a83922d2500f6ca9e651.zip
vim-patch:partial:cbaff5e06ec5 (#18042)
Update runtime files https://github.com/vim/vim/commit/cbaff5e06ec525d31dc44093125c42029e01d508 omit doc updates here
Diffstat (limited to 'runtime/indent/systemverilog.vim')
-rw-r--r--runtime/indent/systemverilog.vim3
1 files changed, 3 insertions, 0 deletions
diff --git a/runtime/indent/systemverilog.vim b/runtime/indent/systemverilog.vim
index 16fb4515c5..f6114dc1fd 100644
--- a/runtime/indent/systemverilog.vim
+++ b/runtime/indent/systemverilog.vim
@@ -2,6 +2,7 @@
" Language: SystemVerilog
" Maintainer: kocha <kocha.lsifrontend@gmail.com>
" Last Change: 05-Feb-2017 by Bilal Wasim
+" 2022 April: b:undo_indent added by Doug Kearns
" Only load this indent file when no other was loaded.
if exists("b:did_indent")
@@ -16,6 +17,8 @@ setlocal indentkeys+==endclass,=endpackage,=endsequence,=endclocking
setlocal indentkeys+==endinterface,=endgroup,=endprogram,=endproperty,=endchecker
setlocal indentkeys+==`else,=`endif
+let b:undo_indent = "setl inde< indk<"
+
" Only define the function once.
if exists("*SystemVerilogIndent")
finish