diff options
Diffstat (limited to 'runtime/indent/verilog.vim')
-rw-r--r-- | runtime/indent/verilog.vim | 3 |
1 files changed, 3 insertions, 0 deletions
diff --git a/runtime/indent/verilog.vim b/runtime/indent/verilog.vim index e81197c3b4..377615c348 100644 --- a/runtime/indent/verilog.vim +++ b/runtime/indent/verilog.vim @@ -1,6 +1,7 @@ " Language: Verilog HDL " Maintainer: Chih-Tsun Huang <cthuang@cs.nthu.edu.tw> " Last Change: 2017 Aug 25 by Chih-Tsun Huang +" 2023 Aug 28 by Vim Project (undo_indent) " URL: http://www.cs.nthu.edu.tw/~cthuang/vim/indent/verilog.vim " " Credits: @@ -28,6 +29,8 @@ setlocal indentkeys+==endmodule,=endfunction,=endtask,=endspecify setlocal indentkeys+==endconfig,=endgenerate,=endprimitive,=endtable setlocal indentkeys+==`else,=`elsif,=`endif +let b:undo_indent = "setlocal indentexpr< indentkeys<" + " Only define the function once. if exists("*GetVerilogIndent") finish |